Personal information

Activities

Employment (2)

Intel (United States): San Diego, California, US

2022-10 to present
Employment
Source: Self-asserted source
Giyoung Yang

Samsung (South Korea): Seoul, KR

2011-07 to 2022-09
Employment
Source: Self-asserted source
Giyoung Yang

Works (9)

Boundless Engineering for Yield to Cope With the Complexity of High-Volume Manufacturing

IEEE Transactions on Semiconductor Manufacturing
2024 | Journal article
Contributors: Giyoung Yang; Lay Hoon Loh; Emma Greer; Xiaodong Zhang; Shivendra Pandey; Saramma Varghese; Wee Hong Goh; Jianjun Cheng; Eric Hao Guan; Angelo Pinto
Source: check_circle
Crossref

Standard Cell Design Optimization with Advanced MOL Technology in 3nm GAA Process

2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)
2022-06-12 | Conference paper
Contributors: Giyoung Yang; Hakchul Jung; Jinyoung Lim; Jaewoo Seo; Ingyum Kim; Jisu Yu; Hyeoungyu You; Jeongsoon Kong; Garoom Kim; Minjae Jeong et al.
Source: Self-asserted source
Giyoung Yang

3nm Gate-All-Around (GAA) Design-Technology Co-Optimization (DTCO) for succeeding PPA by Technology

2022 IEEE Custom Integrated Circuits Conference (CICC)
2022-04 | Conference paper
Contributors: Taejoong Song; Hakchul Jung; Giyoung Yang; Hoyoung Tang; Hayoung Kim; Dongwook Seo; Hoonki Kim; Woojin Rim; Sanghoon Baek; Sangyeop Baeck et al.
Source: Self-asserted source
Giyoung Yang

Design and algorithm for clock gating and flip-flop co-optimization

Proceedings of the International Conference on Computer-Aided Design
2018-11-05 | Conference paper
Contributors: Giyoung Yang; Taewhan Kim
Source: Self-asserted source
Giyoung Yang

12.2 A 7nm FinFET SRAM macro using EUV lithography for peripheral repair analysis

2017 IEEE International Solid-State Circuits Conference (ISSCC)
2017-02 | Conference paper
Contributors: Taejoong Song; Hoonki Kim; Woojin Rim; Yongho Kim; Sunghyun Park; Changnam Park; Minsun Hong; Giyong Yang; Jeongho Do; Jinyoung Lim et al.
Source: Self-asserted source
Giyoung Yang

A 10 nm FinFET 128 Mb SRAM With Assist Adjustment System for Power, Performance, and Area Optimization

IEEE Journal of Solid-State Circuits
2017-01 | Journal article
Part of ISSN: 0018-9200
Part of ISSN: 1558-173X
Contributors: Taejoong Song; Woojin Rim; Sunghyun Park; Yongho Kim; Giyong Yang; Hoonki Kim; Sanghoon Baek; Jonghoon Jung; Bongjae Kwon; Sungwee Cho et al.
Source: Self-asserted source
Giyoung Yang

17.1 A 10nm FinFET 128Mb SRAM with assist adjustment system for power, performance, and area optimization

2016 IEEE International Solid-State Circuits Conference (ISSCC)
2016-01 | Conference paper
Contributors: Taejoong Song; Woojin Rim; Sunghyun Park; Yongho Kim; Jonghoon Jung; Giyong Yang; Sanghoon Baek; Jaeseung Choi; Bongjae Kwon; Yunwoo Lee et al.
Source: Self-asserted source
Giyoung Yang

A 14 nm FinFET 128 Mb SRAM With V<formula formulatype="inline"> <tex Notation="TeX">$_{\rm MIN}$</tex></formula> Enhancement Techniques for Low-Power Applications

IEEE Journal of Solid-State Circuits
2015-01 | Journal article
Part of ISSN: 0018-9200
Part of ISSN: 1558-173X
Contributors: Taejoong Song; Woojin Rim; Jonghoon Jung; Giyong Yang; Jaeho Park; Sunghyun Park; Yongho Kim; Kang-Hyun Baek; Sanghoon Baek; Sang-Kyu Oh et al.
Source: Self-asserted source
Giyoung Yang

13.2 A 14nm FinFET 128Mb 6T SRAM with V<inf>MIN</inf>-enhancement techniques for low-power applications

2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC)
2014-02 | Conference paper
Contributors: Taejoong Song; Woojin Rim; Jonghoon Jung; Giyong Yang; Jaeho Park; Sunghyun Park; Kang-Hyun Baek; Sanghoon Baek; Sang-Kyu Oh; Jinsuk Jung et al.
Source: Self-asserted source
Giyoung Yang